Home
last modified time | relevance | path

Searched refs:create_component (Results 1 – 1 of 1) sorted by relevance

/linux-6.1.9/drivers/staging/vc04_services/vchiq-mmal/
Dmmal-vchiq.c929 static int create_component(struct vchiq_mmal_instance *instance, in create_component() function
1667 ret = create_component(instance, component, name); in vchiq_mmal_component_init()