Home
last modified time | relevance | path

Searched refs:signtheta (Results 1 – 1 of 1) sorted by relevance

/linux-5.19.10/lib/math/
Dcordic.c52 int signtheta; in cordic_calc_iq() local
59 signtheta = (theta < 0) ? -1 : 1; in cordic_calc_iq()
60 theta = ((theta + CORDIC_FIXED(180) * signtheta) % CORDIC_FIXED(360)) - in cordic_calc_iq()
61 CORDIC_FIXED(180) * signtheta; in cordic_calc_iq()