Home
last modified time | relevance | path

Searched refs:latency_in_us (Results 1 – 12 of 12) sorted by relevance

/linux-5.19.10/drivers/gpu/drm/amd/include/
Ddm_pp_interface.h171 uint32_t latency_in_us; member
/linux-5.19.10/drivers/gpu/drm/amd/display/dc/
Ddm_services_types.h104 uint32_t latency_in_us; member
/linux-5.19.10/drivers/gpu/drm/amd/display/amdgpu_dm/
Damdgpu_dm_pp_smu.c260 clk_level_info->data[i].latency_in_us = pp_clks->data[i].latency_in_us; in pp_to_dc_clock_levels_with_latency()
/linux-5.19.10/drivers/gpu/drm/amd/pm/powerplay/hwmgr/
Dvega12_hwmgr.c1843 clocks->data[i].latency_in_us = 0; in vega12_get_sclks()
1874 clocks->data[i].latency_in_us = in vega12_get_memclocks()
1904 clocks->data[i].latency_in_us = 0; in vega12_get_dcefclocks()
1932 clocks->data[i].latency_in_us = 0; in vega12_get_socclocks()
Dvega20_hwmgr.c2819 clocks->data[i].latency_in_us = 0; in vega20_get_sclks()
2848 clocks->data[i].latency_in_us = in vega20_get_memclocks()
2872 clocks->data[i].latency_in_us = 0; in vega20_get_dcefclocks()
2894 clocks->data[i].latency_in_us = 0; in vega20_get_socclocks()
Dsmu10_hwmgr.c1198 clocks->data[clocks->num_levels].latency_in_us = latency_required ? in smu10_get_clock_by_type_with_latency()
Dvega10_hwmgr.c4388 clocks->data[j].latency_in_us = in vega10_get_memclocks()
4407 clocks->data[i].latency_in_us = 0; in vega10_get_dcefclocks()
4423 clocks->data[i].latency_in_us = 0; in vega10_get_socclocks()
Dsmu7_hwmgr.c5228 clocks->data[clocks->num_levels].latency_in_us = in smu7_get_mclks_with_latency()
/linux-5.19.10/drivers/gpu/drm/amd/display/dc/dce120/
Ddce120_resource.c969 mem_clks.data[i].latency_in_us = latency; in bw_calcs_data_update_from_pplib()
/linux-5.19.10/drivers/gpu/drm/amd/pm/swsmu/smu13/
Daldebaran_ppt.c560 clocks->data[i].latency_in_us = 0; in aldebaran_get_clk_table()
/linux-5.19.10/drivers/gpu/drm/amd/pm/swsmu/smu11/
Darcturus_ppt.c584 clocks->data[i].latency_in_us = 0; in arcturus_get_clk_table()
Dnavi10_ppt.c1809 clocks->data[i].latency_in_us = 0; in navi10_get_clock_by_type_with_latency()