Home
last modified time | relevance | path

Searched defs:out_enable (Results 1 – 2 of 2) sorted by relevance

/linux-5.19.10/sound/drivers/mpu401/
Dmpu401_uart.c524 int in_enable, out_enable; in snd_mpu401_uart_new() local
/linux-5.19.10/sound/pci/hda/
Dpatch_ca0132.c4734 bool out_enable, bool hp_enable) in ca0132_set_out_node_pincfg()