1 /*
2  * Common time routines among all ppc machines.
3  *
4  * Written by Cort Dougan (cort@cs.nmt.edu) to merge
5  * Paul Mackerras' version and mine for PReP and Pmac.
6  * MPC8xx/MBX changes by Dan Malek (dmalek@jlc.net).
7  * Converted for 64-bit by Mike Corrigan (mikejc@us.ibm.com)
8  *
9  * First round of bugfixes by Gabriel Paubert (paubert@iram.es)
10  * to make clock more stable (2.4.0-test5). The only thing
11  * that this code assumes is that the timebases have been synchronized
12  * by firmware on SMP and are never stopped (never do sleep
13  * on SMP then, nap and doze are OK).
14  *
15  * Speeded up do_gettimeofday by getting rid of references to
16  * xtime (which required locks for consistency). (mikejc@us.ibm.com)
17  *
18  * TODO (not necessarily in this file):
19  * - improve precision and reproducibility of timebase frequency
20  * measurement at boot time.
21  * - for astronomical applications: add a new function to get
22  * non ambiguous timestamps even around leap seconds. This needs
23  * a new timestamp format and a good name.
24  *
25  * 1997-09-10  Updated NTP code according to technical memorandum Jan '96
26  *             "A Kernel Model for Precision Timekeeping" by Dave Mills
27  *
28  *      This program is free software; you can redistribute it and/or
29  *      modify it under the terms of the GNU General Public License
30  *      as published by the Free Software Foundation; either version
31  *      2 of the License, or (at your option) any later version.
32  */
33 
34 #include <linux/errno.h>
35 #include <linux/export.h>
36 #include <linux/sched.h>
37 #include <linux/kernel.h>
38 #include <linux/param.h>
39 #include <linux/string.h>
40 #include <linux/mm.h>
41 #include <linux/interrupt.h>
42 #include <linux/timex.h>
43 #include <linux/kernel_stat.h>
44 #include <linux/time.h>
45 #include <linux/init.h>
46 #include <linux/profile.h>
47 #include <linux/cpu.h>
48 #include <linux/security.h>
49 #include <linux/percpu.h>
50 #include <linux/rtc.h>
51 #include <linux/jiffies.h>
52 #include <linux/posix-timers.h>
53 #include <linux/irq.h>
54 #include <linux/delay.h>
55 #include <linux/irq_work.h>
56 #include <asm/trace.h>
57 
58 #include <asm/io.h>
59 #include <asm/processor.h>
60 #include <asm/nvram.h>
61 #include <asm/cache.h>
62 #include <asm/machdep.h>
63 #include <asm/uaccess.h>
64 #include <asm/time.h>
65 #include <asm/prom.h>
66 #include <asm/irq.h>
67 #include <asm/div64.h>
68 #include <asm/smp.h>
69 #include <asm/vdso_datapage.h>
70 #include <asm/firmware.h>
71 #include <asm/cputime.h>
72 
73 /* powerpc clocksource/clockevent code */
74 
75 #include <linux/clockchips.h>
76 #include <linux/clocksource.h>
77 
78 static cycle_t rtc_read(struct clocksource *);
79 static struct clocksource clocksource_rtc = {
80 	.name         = "rtc",
81 	.rating       = 400,
82 	.flags        = CLOCK_SOURCE_IS_CONTINUOUS,
83 	.mask         = CLOCKSOURCE_MASK(64),
84 	.read         = rtc_read,
85 };
86 
87 static cycle_t timebase_read(struct clocksource *);
88 static struct clocksource clocksource_timebase = {
89 	.name         = "timebase",
90 	.rating       = 400,
91 	.flags        = CLOCK_SOURCE_IS_CONTINUOUS,
92 	.mask         = CLOCKSOURCE_MASK(64),
93 	.read         = timebase_read,
94 };
95 
96 #define DECREMENTER_MAX	0x7fffffff
97 
98 static int decrementer_set_next_event(unsigned long evt,
99 				      struct clock_event_device *dev);
100 static void decrementer_set_mode(enum clock_event_mode mode,
101 				 struct clock_event_device *dev);
102 
103 static struct clock_event_device decrementer_clockevent = {
104 	.name           = "decrementer",
105 	.rating         = 200,
106 	.irq            = 0,
107 	.set_next_event = decrementer_set_next_event,
108 	.set_mode       = decrementer_set_mode,
109 	.features       = CLOCK_EVT_FEAT_ONESHOT,
110 };
111 
112 DEFINE_PER_CPU(u64, decrementers_next_tb);
113 static DEFINE_PER_CPU(struct clock_event_device, decrementers);
114 
115 #define XSEC_PER_SEC (1024*1024)
116 
117 #ifdef CONFIG_PPC64
118 #define SCALE_XSEC(xsec, max)	(((xsec) * max) / XSEC_PER_SEC)
119 #else
120 /* compute ((xsec << 12) * max) >> 32 */
121 #define SCALE_XSEC(xsec, max)	mulhwu((xsec) << 12, max)
122 #endif
123 
124 unsigned long tb_ticks_per_jiffy;
125 unsigned long tb_ticks_per_usec = 100; /* sane default */
126 EXPORT_SYMBOL(tb_ticks_per_usec);
127 unsigned long tb_ticks_per_sec;
128 EXPORT_SYMBOL(tb_ticks_per_sec);	/* for cputime_t conversions */
129 
130 DEFINE_SPINLOCK(rtc_lock);
131 EXPORT_SYMBOL_GPL(rtc_lock);
132 
133 static u64 tb_to_ns_scale __read_mostly;
134 static unsigned tb_to_ns_shift __read_mostly;
135 static u64 boot_tb __read_mostly;
136 
137 extern struct timezone sys_tz;
138 static long timezone_offset;
139 
140 unsigned long ppc_proc_freq;
141 EXPORT_SYMBOL_GPL(ppc_proc_freq);
142 unsigned long ppc_tb_freq;
143 EXPORT_SYMBOL_GPL(ppc_tb_freq);
144 
145 #ifdef CONFIG_VIRT_CPU_ACCOUNTING
146 /*
147  * Factors for converting from cputime_t (timebase ticks) to
148  * jiffies, microseconds, seconds, and clock_t (1/USER_HZ seconds).
149  * These are all stored as 0.64 fixed-point binary fractions.
150  */
151 u64 __cputime_jiffies_factor;
152 EXPORT_SYMBOL(__cputime_jiffies_factor);
153 u64 __cputime_usec_factor;
154 EXPORT_SYMBOL(__cputime_usec_factor);
155 u64 __cputime_sec_factor;
156 EXPORT_SYMBOL(__cputime_sec_factor);
157 u64 __cputime_clockt_factor;
158 EXPORT_SYMBOL(__cputime_clockt_factor);
159 DEFINE_PER_CPU(unsigned long, cputime_last_delta);
160 DEFINE_PER_CPU(unsigned long, cputime_scaled_last_delta);
161 
162 cputime_t cputime_one_jiffy;
163 
164 void (*dtl_consumer)(struct dtl_entry *, u64);
165 
calc_cputime_factors(void)166 static void calc_cputime_factors(void)
167 {
168 	struct div_result res;
169 
170 	div128_by_32(HZ, 0, tb_ticks_per_sec, &res);
171 	__cputime_jiffies_factor = res.result_low;
172 	div128_by_32(1000000, 0, tb_ticks_per_sec, &res);
173 	__cputime_usec_factor = res.result_low;
174 	div128_by_32(1, 0, tb_ticks_per_sec, &res);
175 	__cputime_sec_factor = res.result_low;
176 	div128_by_32(USER_HZ, 0, tb_ticks_per_sec, &res);
177 	__cputime_clockt_factor = res.result_low;
178 }
179 
180 /*
181  * Read the SPURR on systems that have it, otherwise the PURR,
182  * or if that doesn't exist return the timebase value passed in.
183  */
read_spurr(u64 tb)184 static u64 read_spurr(u64 tb)
185 {
186 	if (cpu_has_feature(CPU_FTR_SPURR))
187 		return mfspr(SPRN_SPURR);
188 	if (cpu_has_feature(CPU_FTR_PURR))
189 		return mfspr(SPRN_PURR);
190 	return tb;
191 }
192 
193 #ifdef CONFIG_PPC_SPLPAR
194 
195 /*
196  * Scan the dispatch trace log and count up the stolen time.
197  * Should be called with interrupts disabled.
198  */
scan_dispatch_log(u64 stop_tb)199 static u64 scan_dispatch_log(u64 stop_tb)
200 {
201 	u64 i = local_paca->dtl_ridx;
202 	struct dtl_entry *dtl = local_paca->dtl_curr;
203 	struct dtl_entry *dtl_end = local_paca->dispatch_log_end;
204 	struct lppaca *vpa = local_paca->lppaca_ptr;
205 	u64 tb_delta;
206 	u64 stolen = 0;
207 	u64 dtb;
208 
209 	if (!dtl)
210 		return 0;
211 
212 	if (i == vpa->dtl_idx)
213 		return 0;
214 	while (i < vpa->dtl_idx) {
215 		dtb = dtl->timebase;
216 		tb_delta = dtl->enqueue_to_dispatch_time +
217 			dtl->ready_to_enqueue_time;
218 		barrier();
219 		if (i + N_DISPATCH_LOG < vpa->dtl_idx) {
220 			/* buffer has overflowed */
221 			i = vpa->dtl_idx - N_DISPATCH_LOG;
222 			dtl = local_paca->dispatch_log + (i % N_DISPATCH_LOG);
223 			continue;
224 		}
225 		if (dtb > stop_tb)
226 			break;
227 		if (dtl_consumer)
228 			dtl_consumer(dtl, i);
229 		stolen += tb_delta;
230 		++i;
231 		++dtl;
232 		if (dtl == dtl_end)
233 			dtl = local_paca->dispatch_log;
234 	}
235 	local_paca->dtl_ridx = i;
236 	local_paca->dtl_curr = dtl;
237 	return stolen;
238 }
239 
240 /*
241  * Accumulate stolen time by scanning the dispatch trace log.
242  * Called on entry from user mode.
243  */
accumulate_stolen_time(void)244 void accumulate_stolen_time(void)
245 {
246 	u64 sst, ust;
247 
248 	u8 save_soft_enabled = local_paca->soft_enabled;
249 
250 	/* We are called early in the exception entry, before
251 	 * soft/hard_enabled are sync'ed to the expected state
252 	 * for the exception. We are hard disabled but the PACA
253 	 * needs to reflect that so various debug stuff doesn't
254 	 * complain
255 	 */
256 	local_paca->soft_enabled = 0;
257 
258 	sst = scan_dispatch_log(local_paca->starttime_user);
259 	ust = scan_dispatch_log(local_paca->starttime);
260 	local_paca->system_time -= sst;
261 	local_paca->user_time -= ust;
262 	local_paca->stolen_time += ust + sst;
263 
264 	local_paca->soft_enabled = save_soft_enabled;
265 }
266 
calculate_stolen_time(u64 stop_tb)267 static inline u64 calculate_stolen_time(u64 stop_tb)
268 {
269 	u64 stolen = 0;
270 
271 	if (get_paca()->dtl_ridx != get_paca()->lppaca_ptr->dtl_idx) {
272 		stolen = scan_dispatch_log(stop_tb);
273 		get_paca()->system_time -= stolen;
274 	}
275 
276 	stolen += get_paca()->stolen_time;
277 	get_paca()->stolen_time = 0;
278 	return stolen;
279 }
280 
281 #else /* CONFIG_PPC_SPLPAR */
calculate_stolen_time(u64 stop_tb)282 static inline u64 calculate_stolen_time(u64 stop_tb)
283 {
284 	return 0;
285 }
286 
287 #endif /* CONFIG_PPC_SPLPAR */
288 
289 /*
290  * Account time for a transition between system, hard irq
291  * or soft irq state.
292  */
account_system_vtime(struct task_struct * tsk)293 void account_system_vtime(struct task_struct *tsk)
294 {
295 	u64 now, nowscaled, delta, deltascaled;
296 	unsigned long flags;
297 	u64 stolen, udelta, sys_scaled, user_scaled;
298 
299 	local_irq_save(flags);
300 	now = mftb();
301 	nowscaled = read_spurr(now);
302 	get_paca()->system_time += now - get_paca()->starttime;
303 	get_paca()->starttime = now;
304 	deltascaled = nowscaled - get_paca()->startspurr;
305 	get_paca()->startspurr = nowscaled;
306 
307 	stolen = calculate_stolen_time(now);
308 
309 	delta = get_paca()->system_time;
310 	get_paca()->system_time = 0;
311 	udelta = get_paca()->user_time - get_paca()->utime_sspurr;
312 	get_paca()->utime_sspurr = get_paca()->user_time;
313 
314 	/*
315 	 * Because we don't read the SPURR on every kernel entry/exit,
316 	 * deltascaled includes both user and system SPURR ticks.
317 	 * Apportion these ticks to system SPURR ticks and user
318 	 * SPURR ticks in the same ratio as the system time (delta)
319 	 * and user time (udelta) values obtained from the timebase
320 	 * over the same interval.  The system ticks get accounted here;
321 	 * the user ticks get saved up in paca->user_time_scaled to be
322 	 * used by account_process_tick.
323 	 */
324 	sys_scaled = delta;
325 	user_scaled = udelta;
326 	if (deltascaled != delta + udelta) {
327 		if (udelta) {
328 			sys_scaled = deltascaled * delta / (delta + udelta);
329 			user_scaled = deltascaled - sys_scaled;
330 		} else {
331 			sys_scaled = deltascaled;
332 		}
333 	}
334 	get_paca()->user_time_scaled += user_scaled;
335 
336 	if (in_interrupt() || idle_task(smp_processor_id()) != tsk) {
337 		account_system_time(tsk, 0, delta, sys_scaled);
338 		if (stolen)
339 			account_steal_time(stolen);
340 	} else {
341 		account_idle_time(delta + stolen);
342 	}
343 	local_irq_restore(flags);
344 }
345 EXPORT_SYMBOL_GPL(account_system_vtime);
346 
347 /*
348  * Transfer the user and system times accumulated in the paca
349  * by the exception entry and exit code to the generic process
350  * user and system time records.
351  * Must be called with interrupts disabled.
352  * Assumes that account_system_vtime() has been called recently
353  * (i.e. since the last entry from usermode) so that
354  * get_paca()->user_time_scaled is up to date.
355  */
account_process_tick(struct task_struct * tsk,int user_tick)356 void account_process_tick(struct task_struct *tsk, int user_tick)
357 {
358 	cputime_t utime, utimescaled;
359 
360 	utime = get_paca()->user_time;
361 	utimescaled = get_paca()->user_time_scaled;
362 	get_paca()->user_time = 0;
363 	get_paca()->user_time_scaled = 0;
364 	get_paca()->utime_sspurr = 0;
365 	account_user_time(tsk, utime, utimescaled);
366 }
367 
368 #else /* ! CONFIG_VIRT_CPU_ACCOUNTING */
369 #define calc_cputime_factors()
370 #endif
371 
__delay(unsigned long loops)372 void __delay(unsigned long loops)
373 {
374 	unsigned long start;
375 	int diff;
376 
377 	if (__USE_RTC()) {
378 		start = get_rtcl();
379 		do {
380 			/* the RTCL register wraps at 1000000000 */
381 			diff = get_rtcl() - start;
382 			if (diff < 0)
383 				diff += 1000000000;
384 		} while (diff < loops);
385 	} else {
386 		start = get_tbl();
387 		while (get_tbl() - start < loops)
388 			HMT_low();
389 		HMT_medium();
390 	}
391 }
392 EXPORT_SYMBOL(__delay);
393 
udelay(unsigned long usecs)394 void udelay(unsigned long usecs)
395 {
396 	__delay(tb_ticks_per_usec * usecs);
397 }
398 EXPORT_SYMBOL(udelay);
399 
400 #ifdef CONFIG_SMP
profile_pc(struct pt_regs * regs)401 unsigned long profile_pc(struct pt_regs *regs)
402 {
403 	unsigned long pc = instruction_pointer(regs);
404 
405 	if (in_lock_functions(pc))
406 		return regs->link;
407 
408 	return pc;
409 }
410 EXPORT_SYMBOL(profile_pc);
411 #endif
412 
413 #ifdef CONFIG_IRQ_WORK
414 
415 /*
416  * 64-bit uses a byte in the PACA, 32-bit uses a per-cpu variable...
417  */
418 #ifdef CONFIG_PPC64
test_irq_work_pending(void)419 static inline unsigned long test_irq_work_pending(void)
420 {
421 	unsigned long x;
422 
423 	asm volatile("lbz %0,%1(13)"
424 		: "=r" (x)
425 		: "i" (offsetof(struct paca_struct, irq_work_pending)));
426 	return x;
427 }
428 
set_irq_work_pending_flag(void)429 static inline void set_irq_work_pending_flag(void)
430 {
431 	asm volatile("stb %0,%1(13)" : :
432 		"r" (1),
433 		"i" (offsetof(struct paca_struct, irq_work_pending)));
434 }
435 
clear_irq_work_pending(void)436 static inline void clear_irq_work_pending(void)
437 {
438 	asm volatile("stb %0,%1(13)" : :
439 		"r" (0),
440 		"i" (offsetof(struct paca_struct, irq_work_pending)));
441 }
442 
443 #else /* 32-bit */
444 
445 DEFINE_PER_CPU(u8, irq_work_pending);
446 
447 #define set_irq_work_pending_flag()	__get_cpu_var(irq_work_pending) = 1
448 #define test_irq_work_pending()		__get_cpu_var(irq_work_pending)
449 #define clear_irq_work_pending()	__get_cpu_var(irq_work_pending) = 0
450 
451 #endif /* 32 vs 64 bit */
452 
arch_irq_work_raise(void)453 void arch_irq_work_raise(void)
454 {
455 	preempt_disable();
456 	set_irq_work_pending_flag();
457 	set_dec(1);
458 	preempt_enable();
459 }
460 
461 #else  /* CONFIG_IRQ_WORK */
462 
463 #define test_irq_work_pending()	0
464 #define clear_irq_work_pending()
465 
466 #endif /* CONFIG_IRQ_WORK */
467 
468 /*
469  * timer_interrupt - gets called when the decrementer overflows,
470  * with interrupts disabled.
471  */
timer_interrupt(struct pt_regs * regs)472 void timer_interrupt(struct pt_regs * regs)
473 {
474 	struct pt_regs *old_regs;
475 	u64 *next_tb = &__get_cpu_var(decrementers_next_tb);
476 	struct clock_event_device *evt = &__get_cpu_var(decrementers);
477 	u64 now;
478 
479 	/* Ensure a positive value is written to the decrementer, or else
480 	 * some CPUs will continue to take decrementer exceptions.
481 	 */
482 	set_dec(DECREMENTER_MAX);
483 
484 	/* Some implementations of hotplug will get timer interrupts while
485 	 * offline, just ignore these
486 	 */
487 	if (!cpu_online(smp_processor_id()))
488 		return;
489 
490 	/* Conditionally hard-enable interrupts now that the DEC has been
491 	 * bumped to its maximum value
492 	 */
493 	may_hard_irq_enable();
494 
495 	trace_timer_interrupt_entry(regs);
496 
497 	__get_cpu_var(irq_stat).timer_irqs++;
498 
499 #if defined(CONFIG_PPC32) && defined(CONFIG_PPC_PMAC)
500 	if (atomic_read(&ppc_n_lost_interrupts) != 0)
501 		do_IRQ(regs);
502 #endif
503 
504 	old_regs = set_irq_regs(regs);
505 	irq_enter();
506 
507 	if (test_irq_work_pending()) {
508 		clear_irq_work_pending();
509 		irq_work_run();
510 	}
511 
512 	now = get_tb_or_rtc();
513 	if (now >= *next_tb) {
514 		*next_tb = ~(u64)0;
515 		if (evt->event_handler)
516 			evt->event_handler(evt);
517 	} else {
518 		now = *next_tb - now;
519 		if (now <= DECREMENTER_MAX)
520 			set_dec((int)now);
521 	}
522 
523 #ifdef CONFIG_PPC64
524 	/* collect purr register values often, for accurate calculations */
525 	if (firmware_has_feature(FW_FEATURE_SPLPAR)) {
526 		struct cpu_usage *cu = &__get_cpu_var(cpu_usage_array);
527 		cu->current_tb = mfspr(SPRN_PURR);
528 	}
529 #endif
530 
531 	irq_exit();
532 	set_irq_regs(old_regs);
533 
534 	trace_timer_interrupt_exit(regs);
535 }
536 
537 #ifdef CONFIG_SUSPEND
generic_suspend_disable_irqs(void)538 static void generic_suspend_disable_irqs(void)
539 {
540 	/* Disable the decrementer, so that it doesn't interfere
541 	 * with suspending.
542 	 */
543 
544 	set_dec(DECREMENTER_MAX);
545 	local_irq_disable();
546 	set_dec(DECREMENTER_MAX);
547 }
548 
generic_suspend_enable_irqs(void)549 static void generic_suspend_enable_irqs(void)
550 {
551 	local_irq_enable();
552 }
553 
554 /* Overrides the weak version in kernel/power/main.c */
arch_suspend_disable_irqs(void)555 void arch_suspend_disable_irqs(void)
556 {
557 	if (ppc_md.suspend_disable_irqs)
558 		ppc_md.suspend_disable_irqs();
559 	generic_suspend_disable_irqs();
560 }
561 
562 /* Overrides the weak version in kernel/power/main.c */
arch_suspend_enable_irqs(void)563 void arch_suspend_enable_irqs(void)
564 {
565 	generic_suspend_enable_irqs();
566 	if (ppc_md.suspend_enable_irqs)
567 		ppc_md.suspend_enable_irqs();
568 }
569 #endif
570 
571 /*
572  * Scheduler clock - returns current time in nanosec units.
573  *
574  * Note: mulhdu(a, b) (multiply high double unsigned) returns
575  * the high 64 bits of a * b, i.e. (a * b) >> 64, where a and b
576  * are 64-bit unsigned numbers.
577  */
sched_clock(void)578 unsigned long long sched_clock(void)
579 {
580 	if (__USE_RTC())
581 		return get_rtc();
582 	return mulhdu(get_tb() - boot_tb, tb_to_ns_scale) << tb_to_ns_shift;
583 }
584 
get_freq(char * name,int cells,unsigned long * val)585 static int __init get_freq(char *name, int cells, unsigned long *val)
586 {
587 	struct device_node *cpu;
588 	const unsigned int *fp;
589 	int found = 0;
590 
591 	/* The cpu node should have timebase and clock frequency properties */
592 	cpu = of_find_node_by_type(NULL, "cpu");
593 
594 	if (cpu) {
595 		fp = of_get_property(cpu, name, NULL);
596 		if (fp) {
597 			found = 1;
598 			*val = of_read_ulong(fp, cells);
599 		}
600 
601 		of_node_put(cpu);
602 	}
603 
604 	return found;
605 }
606 
607 /* should become __cpuinit when secondary_cpu_time_init also is */
start_cpu_decrementer(void)608 void start_cpu_decrementer(void)
609 {
610 #if defined(CONFIG_BOOKE) || defined(CONFIG_40x)
611 	/* Clear any pending timer interrupts */
612 	mtspr(SPRN_TSR, TSR_ENW | TSR_WIS | TSR_DIS | TSR_FIS);
613 
614 	/* Enable decrementer interrupt */
615 	mtspr(SPRN_TCR, TCR_DIE);
616 #endif /* defined(CONFIG_BOOKE) || defined(CONFIG_40x) */
617 }
618 
generic_calibrate_decr(void)619 void __init generic_calibrate_decr(void)
620 {
621 	ppc_tb_freq = DEFAULT_TB_FREQ;		/* hardcoded default */
622 
623 	if (!get_freq("ibm,extended-timebase-frequency", 2, &ppc_tb_freq) &&
624 	    !get_freq("timebase-frequency", 1, &ppc_tb_freq)) {
625 
626 		printk(KERN_ERR "WARNING: Estimating decrementer frequency "
627 				"(not found)\n");
628 	}
629 
630 	ppc_proc_freq = DEFAULT_PROC_FREQ;	/* hardcoded default */
631 
632 	if (!get_freq("ibm,extended-clock-frequency", 2, &ppc_proc_freq) &&
633 	    !get_freq("clock-frequency", 1, &ppc_proc_freq)) {
634 
635 		printk(KERN_ERR "WARNING: Estimating processor frequency "
636 				"(not found)\n");
637 	}
638 }
639 
update_persistent_clock(struct timespec now)640 int update_persistent_clock(struct timespec now)
641 {
642 	struct rtc_time tm;
643 
644 	if (!ppc_md.set_rtc_time)
645 		return 0;
646 
647 	to_tm(now.tv_sec + 1 + timezone_offset, &tm);
648 	tm.tm_year -= 1900;
649 	tm.tm_mon -= 1;
650 
651 	return ppc_md.set_rtc_time(&tm);
652 }
653 
__read_persistent_clock(struct timespec * ts)654 static void __read_persistent_clock(struct timespec *ts)
655 {
656 	struct rtc_time tm;
657 	static int first = 1;
658 
659 	ts->tv_nsec = 0;
660 	/* XXX this is a litle fragile but will work okay in the short term */
661 	if (first) {
662 		first = 0;
663 		if (ppc_md.time_init)
664 			timezone_offset = ppc_md.time_init();
665 
666 		/* get_boot_time() isn't guaranteed to be safe to call late */
667 		if (ppc_md.get_boot_time) {
668 			ts->tv_sec = ppc_md.get_boot_time() - timezone_offset;
669 			return;
670 		}
671 	}
672 	if (!ppc_md.get_rtc_time) {
673 		ts->tv_sec = 0;
674 		return;
675 	}
676 	ppc_md.get_rtc_time(&tm);
677 
678 	ts->tv_sec = mktime(tm.tm_year+1900, tm.tm_mon+1, tm.tm_mday,
679 			    tm.tm_hour, tm.tm_min, tm.tm_sec);
680 }
681 
read_persistent_clock(struct timespec * ts)682 void read_persistent_clock(struct timespec *ts)
683 {
684 	__read_persistent_clock(ts);
685 
686 	/* Sanitize it in case real time clock is set below EPOCH */
687 	if (ts->tv_sec < 0) {
688 		ts->tv_sec = 0;
689 		ts->tv_nsec = 0;
690 	}
691 
692 }
693 
694 /* clocksource code */
rtc_read(struct clocksource * cs)695 static cycle_t rtc_read(struct clocksource *cs)
696 {
697 	return (cycle_t)get_rtc();
698 }
699 
timebase_read(struct clocksource * cs)700 static cycle_t timebase_read(struct clocksource *cs)
701 {
702 	return (cycle_t)get_tb();
703 }
704 
update_vsyscall(struct timespec * wall_time,struct timespec * wtm,struct clocksource * clock,u32 mult)705 void update_vsyscall(struct timespec *wall_time, struct timespec *wtm,
706 			struct clocksource *clock, u32 mult)
707 {
708 	u64 new_tb_to_xs, new_stamp_xsec;
709 	u32 frac_sec;
710 
711 	if (clock != &clocksource_timebase)
712 		return;
713 
714 	/* Make userspace gettimeofday spin until we're done. */
715 	++vdso_data->tb_update_count;
716 	smp_mb();
717 
718 	/* 19342813113834067 ~= 2^(20+64) / 1e9 */
719 	new_tb_to_xs = (u64) mult * (19342813113834067ULL >> clock->shift);
720 	new_stamp_xsec = (u64) wall_time->tv_nsec * XSEC_PER_SEC;
721 	do_div(new_stamp_xsec, 1000000000);
722 	new_stamp_xsec += (u64) wall_time->tv_sec * XSEC_PER_SEC;
723 
724 	BUG_ON(wall_time->tv_nsec >= NSEC_PER_SEC);
725 	/* this is tv_nsec / 1e9 as a 0.32 fraction */
726 	frac_sec = ((u64) wall_time->tv_nsec * 18446744073ULL) >> 32;
727 
728 	/*
729 	 * tb_update_count is used to allow the userspace gettimeofday code
730 	 * to assure itself that it sees a consistent view of the tb_to_xs and
731 	 * stamp_xsec variables.  It reads the tb_update_count, then reads
732 	 * tb_to_xs and stamp_xsec and then reads tb_update_count again.  If
733 	 * the two values of tb_update_count match and are even then the
734 	 * tb_to_xs and stamp_xsec values are consistent.  If not, then it
735 	 * loops back and reads them again until this criteria is met.
736 	 * We expect the caller to have done the first increment of
737 	 * vdso_data->tb_update_count already.
738 	 */
739 	vdso_data->tb_orig_stamp = clock->cycle_last;
740 	vdso_data->stamp_xsec = new_stamp_xsec;
741 	vdso_data->tb_to_xs = new_tb_to_xs;
742 	vdso_data->wtom_clock_sec = wtm->tv_sec;
743 	vdso_data->wtom_clock_nsec = wtm->tv_nsec;
744 	vdso_data->stamp_xtime = *wall_time;
745 	vdso_data->stamp_sec_fraction = frac_sec;
746 	smp_wmb();
747 	++(vdso_data->tb_update_count);
748 }
749 
update_vsyscall_tz(void)750 void update_vsyscall_tz(void)
751 {
752 	vdso_data->tz_minuteswest = sys_tz.tz_minuteswest;
753 	vdso_data->tz_dsttime = sys_tz.tz_dsttime;
754 }
755 
clocksource_init(void)756 static void __init clocksource_init(void)
757 {
758 	struct clocksource *clock;
759 
760 	if (__USE_RTC())
761 		clock = &clocksource_rtc;
762 	else
763 		clock = &clocksource_timebase;
764 
765 	if (clocksource_register_hz(clock, tb_ticks_per_sec)) {
766 		printk(KERN_ERR "clocksource: %s is already registered\n",
767 		       clock->name);
768 		return;
769 	}
770 
771 	printk(KERN_INFO "clocksource: %s mult[%x] shift[%d] registered\n",
772 	       clock->name, clock->mult, clock->shift);
773 }
774 
decrementer_set_next_event(unsigned long evt,struct clock_event_device * dev)775 static int decrementer_set_next_event(unsigned long evt,
776 				      struct clock_event_device *dev)
777 {
778 	__get_cpu_var(decrementers_next_tb) = get_tb_or_rtc() + evt;
779 	set_dec(evt);
780 	return 0;
781 }
782 
decrementer_set_mode(enum clock_event_mode mode,struct clock_event_device * dev)783 static void decrementer_set_mode(enum clock_event_mode mode,
784 				 struct clock_event_device *dev)
785 {
786 	if (mode != CLOCK_EVT_MODE_ONESHOT)
787 		decrementer_set_next_event(DECREMENTER_MAX, dev);
788 }
789 
register_decrementer_clockevent(int cpu)790 static void register_decrementer_clockevent(int cpu)
791 {
792 	struct clock_event_device *dec = &per_cpu(decrementers, cpu);
793 
794 	*dec = decrementer_clockevent;
795 	dec->cpumask = cpumask_of(cpu);
796 
797 	printk_once(KERN_DEBUG "clockevent: %s mult[%x] shift[%d] cpu[%d]\n",
798 		    dec->name, dec->mult, dec->shift, cpu);
799 
800 	clockevents_register_device(dec);
801 }
802 
init_decrementer_clockevent(void)803 static void __init init_decrementer_clockevent(void)
804 {
805 	int cpu = smp_processor_id();
806 
807 	clockevents_calc_mult_shift(&decrementer_clockevent, ppc_tb_freq, 4);
808 
809 	decrementer_clockevent.max_delta_ns =
810 		clockevent_delta2ns(DECREMENTER_MAX, &decrementer_clockevent);
811 	decrementer_clockevent.min_delta_ns =
812 		clockevent_delta2ns(2, &decrementer_clockevent);
813 
814 	register_decrementer_clockevent(cpu);
815 }
816 
secondary_cpu_time_init(void)817 void secondary_cpu_time_init(void)
818 {
819 	/* Start the decrementer on CPUs that have manual control
820 	 * such as BookE
821 	 */
822 	start_cpu_decrementer();
823 
824 	/* FIME: Should make unrelatred change to move snapshot_timebase
825 	 * call here ! */
826 	register_decrementer_clockevent(smp_processor_id());
827 }
828 
829 /* This function is only called on the boot processor */
time_init(void)830 void __init time_init(void)
831 {
832 	struct div_result res;
833 	u64 scale;
834 	unsigned shift;
835 
836 	if (__USE_RTC()) {
837 		/* 601 processor: dec counts down by 128 every 128ns */
838 		ppc_tb_freq = 1000000000;
839 	} else {
840 		/* Normal PowerPC with timebase register */
841 		ppc_md.calibrate_decr();
842 		printk(KERN_DEBUG "time_init: decrementer frequency = %lu.%.6lu MHz\n",
843 		       ppc_tb_freq / 1000000, ppc_tb_freq % 1000000);
844 		printk(KERN_DEBUG "time_init: processor frequency   = %lu.%.6lu MHz\n",
845 		       ppc_proc_freq / 1000000, ppc_proc_freq % 1000000);
846 	}
847 
848 	tb_ticks_per_jiffy = ppc_tb_freq / HZ;
849 	tb_ticks_per_sec = ppc_tb_freq;
850 	tb_ticks_per_usec = ppc_tb_freq / 1000000;
851 	calc_cputime_factors();
852 	setup_cputime_one_jiffy();
853 
854 	/*
855 	 * Compute scale factor for sched_clock.
856 	 * The calibrate_decr() function has set tb_ticks_per_sec,
857 	 * which is the timebase frequency.
858 	 * We compute 1e9 * 2^64 / tb_ticks_per_sec and interpret
859 	 * the 128-bit result as a 64.64 fixed-point number.
860 	 * We then shift that number right until it is less than 1.0,
861 	 * giving us the scale factor and shift count to use in
862 	 * sched_clock().
863 	 */
864 	div128_by_32(1000000000, 0, tb_ticks_per_sec, &res);
865 	scale = res.result_low;
866 	for (shift = 0; res.result_high != 0; ++shift) {
867 		scale = (scale >> 1) | (res.result_high << 63);
868 		res.result_high >>= 1;
869 	}
870 	tb_to_ns_scale = scale;
871 	tb_to_ns_shift = shift;
872 	/* Save the current timebase to pretty up CONFIG_PRINTK_TIME */
873 	boot_tb = get_tb_or_rtc();
874 
875 	/* If platform provided a timezone (pmac), we correct the time */
876 	if (timezone_offset) {
877 		sys_tz.tz_minuteswest = -timezone_offset / 60;
878 		sys_tz.tz_dsttime = 0;
879 	}
880 
881 	vdso_data->tb_update_count = 0;
882 	vdso_data->tb_ticks_per_sec = tb_ticks_per_sec;
883 
884 	/* Start the decrementer on CPUs that have manual control
885 	 * such as BookE
886 	 */
887 	start_cpu_decrementer();
888 
889 	/* Register the clocksource */
890 	clocksource_init();
891 
892 	init_decrementer_clockevent();
893 }
894 
895 
896 #define FEBRUARY	2
897 #define	STARTOFTIME	1970
898 #define SECDAY		86400L
899 #define SECYR		(SECDAY * 365)
900 #define	leapyear(year)		((year) % 4 == 0 && \
901 				 ((year) % 100 != 0 || (year) % 400 == 0))
902 #define	days_in_year(a) 	(leapyear(a) ? 366 : 365)
903 #define	days_in_month(a) 	(month_days[(a) - 1])
904 
905 static int month_days[12] = {
906 	31, 28, 31, 30, 31, 30, 31, 31, 30, 31, 30, 31
907 };
908 
909 /*
910  * This only works for the Gregorian calendar - i.e. after 1752 (in the UK)
911  */
GregorianDay(struct rtc_time * tm)912 void GregorianDay(struct rtc_time * tm)
913 {
914 	int leapsToDate;
915 	int lastYear;
916 	int day;
917 	int MonthOffset[] = { 0, 31, 59, 90, 120, 151, 181, 212, 243, 273, 304, 334 };
918 
919 	lastYear = tm->tm_year - 1;
920 
921 	/*
922 	 * Number of leap corrections to apply up to end of last year
923 	 */
924 	leapsToDate = lastYear / 4 - lastYear / 100 + lastYear / 400;
925 
926 	/*
927 	 * This year is a leap year if it is divisible by 4 except when it is
928 	 * divisible by 100 unless it is divisible by 400
929 	 *
930 	 * e.g. 1904 was a leap year, 1900 was not, 1996 is, and 2000 was
931 	 */
932 	day = tm->tm_mon > 2 && leapyear(tm->tm_year);
933 
934 	day += lastYear*365 + leapsToDate + MonthOffset[tm->tm_mon-1] +
935 		   tm->tm_mday;
936 
937 	tm->tm_wday = day % 7;
938 }
939 
to_tm(int tim,struct rtc_time * tm)940 void to_tm(int tim, struct rtc_time * tm)
941 {
942 	register int    i;
943 	register long   hms, day;
944 
945 	day = tim / SECDAY;
946 	hms = tim % SECDAY;
947 
948 	/* Hours, minutes, seconds are easy */
949 	tm->tm_hour = hms / 3600;
950 	tm->tm_min = (hms % 3600) / 60;
951 	tm->tm_sec = (hms % 3600) % 60;
952 
953 	/* Number of years in days */
954 	for (i = STARTOFTIME; day >= days_in_year(i); i++)
955 		day -= days_in_year(i);
956 	tm->tm_year = i;
957 
958 	/* Number of months in days left */
959 	if (leapyear(tm->tm_year))
960 		days_in_month(FEBRUARY) = 29;
961 	for (i = 1; day >= days_in_month(i); i++)
962 		day -= days_in_month(i);
963 	days_in_month(FEBRUARY) = 28;
964 	tm->tm_mon = i;
965 
966 	/* Days are what is left over (+1) from all that. */
967 	tm->tm_mday = day + 1;
968 
969 	/*
970 	 * Determine the day of week
971 	 */
972 	GregorianDay(tm);
973 }
974 
975 /*
976  * Divide a 128-bit dividend by a 32-bit divisor, leaving a 128 bit
977  * result.
978  */
div128_by_32(u64 dividend_high,u64 dividend_low,unsigned divisor,struct div_result * dr)979 void div128_by_32(u64 dividend_high, u64 dividend_low,
980 		  unsigned divisor, struct div_result *dr)
981 {
982 	unsigned long a, b, c, d;
983 	unsigned long w, x, y, z;
984 	u64 ra, rb, rc;
985 
986 	a = dividend_high >> 32;
987 	b = dividend_high & 0xffffffff;
988 	c = dividend_low >> 32;
989 	d = dividend_low & 0xffffffff;
990 
991 	w = a / divisor;
992 	ra = ((u64)(a - (w * divisor)) << 32) + b;
993 
994 	rb = ((u64) do_div(ra, divisor) << 32) + c;
995 	x = ra;
996 
997 	rc = ((u64) do_div(rb, divisor) << 32) + d;
998 	y = rb;
999 
1000 	do_div(rc, divisor);
1001 	z = rc;
1002 
1003 	dr->result_high = ((u64)w << 32) + x;
1004 	dr->result_low  = ((u64)y << 32) + z;
1005 
1006 }
1007 
1008 /* We don't need to calibrate delay, we use the CPU timebase for that */
calibrate_delay(void)1009 void calibrate_delay(void)
1010 {
1011 	/* Some generic code (such as spinlock debug) use loops_per_jiffy
1012 	 * as the number of __delay(1) in a jiffy, so make it so
1013 	 */
1014 	loops_per_jiffy = tb_ticks_per_jiffy;
1015 }
1016 
rtc_init(void)1017 static int __init rtc_init(void)
1018 {
1019 	struct platform_device *pdev;
1020 
1021 	if (!ppc_md.get_rtc_time)
1022 		return -ENODEV;
1023 
1024 	pdev = platform_device_register_simple("rtc-generic", -1, NULL, 0);
1025 	if (IS_ERR(pdev))
1026 		return PTR_ERR(pdev);
1027 
1028 	return 0;
1029 }
1030 
1031 module_init(rtc_init);
1032