Lines Matching refs:MBX_0

635 		mcp->out_mb = MBX_8|MBX_0;  in qla2x00_load_ram()
638 mcp->out_mb = MBX_0; in qla2x00_load_ram()
655 mcp->in_mb = MBX_1|MBX_0; in qla2x00_load_ram()
707 mcp->out_mb = MBX_0; in qla2x00_execute_fw()
708 mcp->in_mb = MBX_0; in qla2x00_execute_fw()
859 mcp->out_mb = MBX_1|MBX_0; in qla_get_exlogin_status()
860 mcp->in_mb = MBX_10|MBX_4|MBX_0; in qla_get_exlogin_status()
922 mcp->out_mb = MBX_9|MBX_8|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla_set_exlogin_mem_cfg()
923 mcp->in_mb = MBX_11|MBX_0; in qla_set_exlogin_mem_cfg()
969 mcp->out_mb = MBX_1|MBX_0; in qla_get_exchoffld_status()
970 mcp->in_mb = MBX_10|MBX_4|MBX_0; in qla_get_exchoffld_status()
1032 mcp->out_mb = MBX_9|MBX_8|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla_set_exchoffld_mem_cfg()
1033 mcp->in_mb = MBX_11|MBX_0; in qla_set_exchoffld_mem_cfg()
1076 mcp->out_mb = MBX_0; in qla2x00_get_fw_version()
1077 mcp->in_mb = MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_fw_version()
1244 mcp->out_mb = MBX_0; in qla2x00_get_fw_options()
1245 mcp->in_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_fw_options()
1295 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_set_fw_options()
1296 mcp->in_mb = MBX_0; in qla2x00_set_fw_options()
1359 mcp->out_mb = MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_mbx_reg_test()
1360 mcp->in_mb = MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_mbx_reg_test()
1413 mcp->out_mb = MBX_0; in qla2x00_verify_checksum()
1414 mcp->in_mb = MBX_0; in qla2x00_verify_checksum()
1480 mcp->out_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_issue_iocb_timeout()
1481 mcp->in_mb = MBX_1|MBX_0; in qla2x00_issue_iocb_timeout()
1567 mcp->out_mb = MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_abort_command()
1568 mcp->in_mb = MBX_0; in qla2x00_abort_command()
1597 mcp->out_mb = MBX_9|MBX_2|MBX_1|MBX_0; in qla2x00_abort_target()
1608 mcp->in_mb = MBX_0; in qla2x00_abort_target()
1645 mcp->out_mb = MBX_9|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_lun_reset()
1654 mcp->in_mb = MBX_0; in qla2x00_lun_reset()
1709 mcp->out_mb = MBX_9|MBX_0; in qla2x00_get_adapter_id()
1710 mcp->in_mb = MBX_9|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_adapter_id()
1819 mcp->out_mb = MBX_0; in qla2x00_get_retry_cnt()
1820 mcp->in_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_retry_cnt()
1888 mcp->out_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_init_firmware()
1910 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla2x00_init_firmware()
1995 mcp->out_mb = MBX_9|MBX_7|MBX_6|MBX_3|MBX_2|MBX_0; in qla2x00_get_port_database()
1996 mcp->in_mb = MBX_0; in qla2x00_get_port_database()
2167 mcp->out_mb = MBX_10|MBX_9|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla24xx_get_port_database()
2168 mcp->in_mb = MBX_1|MBX_0; in qla24xx_get_port_database()
2217 mcp->out_mb = MBX_0; in qla2x00_get_firmware_state()
2219 mcp->in_mb = MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_firmware_state()
2221 mcp->in_mb = MBX_1|MBX_0; in qla2x00_get_firmware_state()
2283 mcp->out_mb = MBX_9|MBX_1|MBX_0; in qla2x00_get_port_name()
2292 mcp->in_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_port_name()
2356 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla24xx_link_initialize()
2357 mcp->in_mb = MBX_0; in qla24xx_link_initialize()
2402 mcp->out_mb = MBX_2|MBX_1|MBX_0; in qla2x00_lip_reset()
2408 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_lip_reset()
2411 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_lip_reset()
2422 mcp->in_mb = MBX_0; in qla2x00_lip_reset()
2478 mcp->out_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_send_sns()
2479 mcp->in_mb = MBX_0|MBX_1; in qla2x00_send_sns()
2648 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_login_fabric()
2659 mcp->in_mb = MBX_7|MBX_6|MBX_2|MBX_1|MBX_0; in qla2x00_login_fabric()
2739 mcp->out_mb = MBX_2|MBX_1|MBX_0; in qla2x00_login_local_device()
2740 mcp->in_mb = MBX_7|MBX_6|MBX_1|MBX_0; in qla2x00_login_local_device()
2862 mcp->out_mb = MBX_1|MBX_0; in qla2x00_fabric_logout()
2871 mcp->in_mb = MBX_1|MBX_0; in qla2x00_fabric_logout()
2918 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_full_login_lip()
2919 mcp->in_mb = MBX_0; in qla2x00_full_login_lip()
2963 mcp->out_mb = MBX_0; in qla2x00_get_id_list()
2979 mcp->in_mb = MBX_1|MBX_0; in qla2x00_get_id_list()
3021 mcp->out_mb = MBX_0; in qla2x00_get_resource_cnts()
3022 mcp->in_mb = MBX_11|MBX_10|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_resource_cnts()
3096 mcp->out_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_0; in qla2x00_get_fcal_position_map()
3097 mcp->in_mb = MBX_1|MBX_0; in qla2x00_get_fcal_position_map()
3159 mcp->out_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_0; in qla2x00_get_link_status()
3160 mcp->in_mb = MBX_0; in qla2x00_get_link_status()
3472 mcp->out_mb = MBX_0; in qla2x00_system_error()
3473 mcp->in_mb = MBX_0; in qla2x00_system_error()
3510 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_write_serdes_word()
3511 mcp->in_mb = MBX_0; in qla2x00_write_serdes_word()
3544 mcp->out_mb = MBX_3|MBX_1|MBX_0; in qla2x00_read_serdes_word()
3545 mcp->in_mb = MBX_1|MBX_0; in qla2x00_read_serdes_word()
3585 mcp->out_mb = MBX_6|MBX_5|MBX_4|MBX_3|MBX_1|MBX_0; in qla8044_write_serdes_word()
3586 mcp->in_mb = MBX_0; in qla8044_write_serdes_word()
3619 mcp->out_mb = MBX_4|MBX_3|MBX_1|MBX_0; in qla8044_read_serdes_word()
3620 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla8044_read_serdes_word()
3663 mcp->out_mb = MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_set_serdes_params()
3664 mcp->in_mb = MBX_0; in qla2x00_set_serdes_params()
3697 mcp->out_mb = MBX_1|MBX_0; in qla2x00_stop_firmware()
3698 mcp->in_mb = MBX_0; in qla2x00_stop_firmware()
3740 mcp->out_mb = MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_enable_eft_trace()
3741 mcp->in_mb = MBX_1|MBX_0; in qla2x00_enable_eft_trace()
3775 mcp->out_mb = MBX_1|MBX_0; in qla2x00_disable_eft_trace()
3776 mcp->in_mb = MBX_1|MBX_0; in qla2x00_disable_eft_trace()
3823 MBX_1|MBX_0; in qla2x00_enable_fce_trace()
3824 mcp->in_mb = MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_enable_fce_trace()
3864 mcp->out_mb = MBX_2|MBX_1|MBX_0; in qla2x00_disable_fce_trace()
3866 MBX_1|MBX_0; in qla2x00_disable_fce_trace()
3911 mcp->out_mb = MBX_9|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_idma_speed()
3912 mcp->in_mb = MBX_3|MBX_1|MBX_0; in qla2x00_get_idma_speed()
3955 mcp->out_mb = MBX_9|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_set_idma_speed()
3956 mcp->in_mb = MBX_3|MBX_1|MBX_0; in qla2x00_set_idma_speed()
4331 mcp->out_mb = MBX_9|MBX_1|MBX_0; in qla2x00_send_change_request()
4332 mcp->in_mb = MBX_0|MBX_1; in qla2x00_send_change_request()
4362 mcp->out_mb = MBX_10|MBX_8|MBX_0; in qla2x00_dump_ram()
4365 mcp->out_mb = MBX_0; in qla2x00_dump_ram()
4382 mcp->in_mb = MBX_0; in qla2x00_dump_ram()
4538 MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla25xx_init_req_que()
4539 mcp->in_mb = MBX_0; in qla25xx_init_req_que()
4608 |MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla25xx_init_rsp_que()
4609 mcp->in_mb = MBX_0; in qla25xx_init_rsp_que()
4656 mcp->out_mb = MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla81xx_idc_ack()
4657 mcp->in_mb = MBX_0; in qla81xx_idc_ack()
4689 mcp->out_mb = MBX_1|MBX_0; in qla81xx_fac_get_sector_size()
4690 mcp->in_mb = MBX_1|MBX_0; in qla81xx_fac_get_sector_size()
4725 mcp->out_mb = MBX_1|MBX_0; in qla81xx_fac_do_write_enable()
4726 mcp->in_mb = MBX_1|MBX_0; in qla81xx_fac_do_write_enable()
4763 mcp->out_mb = MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla81xx_fac_erase_sector()
4764 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla81xx_fac_erase_sector()
4799 mcp->out_mb = MBX_1|MBX_0; in qla81xx_fac_semaphore_access()
4800 mcp->in_mb = MBX_1|MBX_0; in qla81xx_fac_semaphore_access()
4828 mcp->out_mb = MBX_0; in qla81xx_restart_mpi_firmware()
4829 mcp->in_mb = MBX_0|MBX_1; in qla81xx_restart_mpi_firmware()
4868 mcp->out_mb = MBX_1|MBX_0; in qla82xx_set_driver_version()
4877 mcp->in_mb = MBX_1|MBX_0; in qla82xx_set_driver_version()
4933 mcp->out_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla25xx_set_driver_version()
4934 mcp->in_mb = MBX_1|MBX_0; in qla25xx_set_driver_version()
4974 mcp->out_mb = MBX_8|MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla24xx_get_port_login_templ()
4975 mcp->in_mb = MBX_1|MBX_0; in qla24xx_get_port_login_templ()
5049 mcp->out_mb = MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla25xx_set_els_cmds_supported()
5050 mcp->in_mb = MBX_1|MBX_0; in qla25xx_set_els_cmds_supported()
5085 mcp->out_mb = MBX_1|MBX_0; in qla2x00_read_asic_temperature()
5086 mcp->in_mb = MBX_1|MBX_0; in qla2x00_read_asic_temperature()
5130 mcp->out_mb = MBX_10|MBX_9|MBX_8|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_read_sfp()
5131 mcp->in_mb = MBX_1|MBX_0; in qla2x00_read_sfp()
5184 mcp->out_mb = MBX_10|MBX_9|MBX_8|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_write_sfp()
5185 mcp->in_mb = MBX_1|MBX_0; in qla2x00_write_sfp()
5221 mcp->out_mb = MBX_8|MBX_7|MBX_6|MBX_3|MBX_2|MBX_0; in qla2x00_get_xgmac_stats()
5222 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla2x00_get_xgmac_stats()
5263 mcp->out_mb = MBX_8|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_get_dcbx_params()
5264 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla2x00_get_dcbx_params()
5297 mcp->out_mb = MBX_8|MBX_1|MBX_0; in qla2x00_read_ram_word()
5298 mcp->in_mb = MBX_3|MBX_2|MBX_0; in qla2x00_read_ram_word()
5350 MBX_14|MBX_13|MBX_12|MBX_11|MBX_10|MBX_7|MBX_6|MBX_1|MBX_0; in qla2x00_loopback_test()
5353 mcp->in_mb = MBX_19|MBX_18|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_loopback_test()
5408 MBX_14|MBX_10|MBX_7|MBX_6|MBX_1|MBX_0; in qla2x00_echo_test()
5412 mcp->in_mb = MBX_0; in qla2x00_echo_test()
5452 mcp->out_mb = MBX_1|MBX_0; in qla84xx_reset_chip()
5453 mcp->in_mb = MBX_1|MBX_0; in qla84xx_reset_chip()
5485 mcp->out_mb = MBX_8|MBX_3|MBX_2|MBX_1|MBX_0; in qla2x00_write_ram_word()
5486 mcp->in_mb = MBX_1|MBX_0; in qla2x00_write_ram_word()
5602 mcp->out_mb = MBX_2|MBX_1|MBX_0; in qla2x00_set_data_rate()
5603 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla2x00_set_data_rate()
5640 mcp->out_mb = MBX_1|MBX_0; in qla2x00_get_data_rate()
5641 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla2x00_get_data_rate()
5684 mcp->out_mb = MBX_0; in qla81xx_get_port_config()
5685 mcp->in_mb = MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla81xx_get_port_config()
5717 mcp->out_mb = MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla81xx_set_port_config()
5718 mcp->in_mb = MBX_0; in qla81xx_set_port_config()
5757 mcp->out_mb = MBX_9|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla24xx_set_fcp_prio()
5758 mcp->in_mb = MBX_4|MBX_3|MBX_1|MBX_0; in qla24xx_set_fcp_prio()
5844 mcp->out_mb = MBX_1|MBX_0; in qla82xx_mbx_intr_enable()
5845 mcp->in_mb = MBX_0; in qla82xx_mbx_intr_enable()
5879 mcp->out_mb = MBX_1|MBX_0; in qla82xx_mbx_intr_disable()
5880 mcp->in_mb = MBX_0; in qla82xx_mbx_intr_disable()
5913 mcp->out_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla82xx_md_get_template_size()
5915 MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla82xx_md_get_template_size()
5974 MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla82xx_md_get_template()
5975 mcp->in_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla82xx_md_get_template()
6026 MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla8044_md_get_template()
6027 mcp->in_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla8044_md_get_template()
6069 mcp->out_mb = MBX_2|MBX_1|MBX_0; in qla81xx_set_led_config()
6072 mcp->in_mb = MBX_0; in qla81xx_set_led_config()
6105 mcp->out_mb = MBX_0; in qla81xx_get_led_config()
6106 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla81xx_get_led_config()
6153 mcp->out_mb = MBX_7|MBX_0; in qla82xx_mbx_beacon_ctl()
6154 mcp->in_mb = MBX_0; in qla82xx_mbx_beacon_ctl()
6189 mcp->out_mb = MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla83xx_wr_reg()
6191 mcp->in_mb = MBX_1|MBX_0; in qla83xx_wr_reg()
6229 mcp->out_mb = MBX_10|MBX_1|MBX_0; in qla2x00_port_logout()
6230 mcp->in_mb = MBX_0; in qla2x00_port_logout()
6262 mcp->out_mb = MBX_2|MBX_1|MBX_0; in qla83xx_rd_reg()
6263 mcp->in_mb = MBX_4|MBX_3|MBX_1|MBX_0; in qla83xx_rd_reg()
6309 mcp->out_mb = MBX_0; in qla83xx_restart_nic_firmware()
6310 mcp->in_mb = MBX_1|MBX_0; in qla83xx_restart_nic_firmware()
6344 mcp->out_mb = MBX_1|MBX_0; in qla83xx_access_control()
6352 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla83xx_access_control()
6409 MBX_0; in qla2x00_dump_mctp_data()
6411 mcp->in_mb = MBX_0; in qla2x00_dump_mctp_data()
6459 mcp->out_mb = MBX_8|MBX_7|MBX_6|MBX_3|MBX_2|MBX_1|MBX_0; in qla26xx_dport_diagnostics()
6460 mcp->in_mb = MBX_3|MBX_2|MBX_1|MBX_0; in qla26xx_dport_diagnostics()
6728 mcp->out_mb = MBX_2 | MBX_1 | MBX_0; in qla27xx_set_zio_threshold()
6729 mcp->in_mb = MBX_2 | MBX_0; in qla27xx_set_zio_threshold()
6753 mcp->out_mb = MBX_1 | MBX_0; in qla27xx_get_zio_threshold()
6754 mcp->in_mb = MBX_2 | MBX_0; in qla27xx_get_zio_threshold()
6868 MBX_9|MBX_8|MBX_7|MBX_6|MBX_5|MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla28xx_secure_flash_update()
6869 mcp->in_mb = MBX_2|MBX_1|MBX_0; in qla28xx_secure_flash_update()
6898 mcp->out_mb = MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2xxx_write_remote_register()
6899 mcp->in_mb = MBX_1|MBX_0; in qla2xxx_write_remote_register()
6928 mcp->out_mb = MBX_2|MBX_1|MBX_0; in qla2xxx_read_remote_register()
6929 mcp->in_mb = MBX_4|MBX_3|MBX_2|MBX_1|MBX_0; in qla2xxx_read_remote_register()
6963 mcp->out_mb = MBX_1|MBX_0; in ql26xx_led_config()
6964 mcp->in_mb = MBX_1|MBX_0; in ql26xx_led_config()
7019 mcp->out_mb = MBX_0; in qla_no_op_mb()
7020 mcp->in_mb = MBX_0; in qla_no_op_mb()